Simulate VLSI Designs
Example Prompt:
Example Response:
module tb_your_module_name;
// Declare inputs as reg and outputs as wire
reg clk;
reg reset;
reg [N:0] input_signal;
wire [M:0] output_signal;
// Instantiate the module under test
your_module_name uut (
.clk(clk),
.reset(reset),
.input_signal(input_signal),
.output_signal(output_signal)
);
// Clock generation
initial begin
clk = 0;
forever #5 clk = ~clk; // 10 time units clock period
end
// Test sequence
initial begin
// Initialize inputs
reset = 1;
input_signal = 0;
#10 reset = 0; // Release reset after 10 time units
// Apply test vectors
input_signal = 8'b00000001;
#10;
input_signal = 8'b00000010;
#10;
// Add more test cases as needed
// Finish simulation
#100;
$finish;
end
// Monitor outputs
initial begin
$monitor("At time %t, output_signal = %b", $time, output_signal);
end
endmodule
Related Tasks:
Optimize Synthesis Scripts
Example Prompt:
Example Response:
Related Tasks:
Generate Floorplan Layouts
Example Prompt:
Example Response:
Related Tasks:
Develop Test Plans
Example Prompt:
Example Response:
Related Tasks:
Create Design Documentation
Example Prompt:
Example Response:
Related Tasks: